Intel charts path to 1nm – video

Technology News |
Intel has for the first time indicated how it will get to the equivalent of 1nm processing with the RibbonFET and a rough timescale.Read More
By Nick Flaherty

Share:

The recent technology announcement renames the current 10nm process to Intel7, and the Intel4 process in 2022 with products in 2023 will compete with TSMC’s current 5nm process which will see an optical shrink to 4NP in the same timeframe. Intel3 will be ready to begin manufacturing products in the second half of 2023 using extreme ultraviolet (EUV) technology.

Peter Clarke gives his take on the move:

“这个行业一直recognized that traditional nanometer-based process node naming stopped matching the actual gate-length metric in 1997,” says Intel. But the key change is the A-series process nodes. The 20A process will be the equivalent of 2nm, starting in the middle of 2024, and will use two breakthrough technologies, RibbonFET and PowerVia.

RibbonFET is Intel’s implementation of a gate-all-around transistor that Samsung is already using for its 3nm production.

The RibbonFET will be the company’s first new transistor architecture since it pioneered FinFET in 2011. This provides faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint.

PowerVia is Intel’s version of the backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer and so reducing the die size and cost of devices. Intel 20A is expected to ramp in 2024 and the company is working with rival Qualcomm on how to use this process technology a part of Intel’s foundry offering.

+MORE

Linked Articles

eeNews Europe

10s
Baidu