Intel charts path to 1nm – video

Technology News |
Intel has for the first time indicated how it will get to the equivalent of 1nm processing with the RibbonFET and a rough timescale.Read More
By Nick Flaherty

Share:

The recent technology announcement renames the current 10nm process to Intel7, and the Intel4 process in 2022 with products in 2023 will compete with TSMC’s current 5nm process which will see an optical shrink to 4NP in the same timeframe. Intel3 will be ready to begin manufacturing products in the second half of 2023 using extreme ultraviolet (EUV) technology.

Peter Clarke gives his take on the move:

“The industry has long recognized that traditional nanometer-based process node naming stopped matching the actual gate-length metric in 1997,” says Intel. But the key change is the A-series process nodes. The 20A process will be the equivalent of 2nm, starting in the middle of 2024, and will use two breakthrough technologies, RibbonFET and PowerVia.

RibbonFET is Intel’s implementation of a gate-all-around transistor that Samsung is already using for its 3nm production.

The RibbonFET will be the company’s first new transistor architecture since it pioneered FinFET in 2011. This provides faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint.

PowerVia is Intel’s version of the backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer and so reducing the die size and cost of devices. Intel 20A is expected to ramp in 2024 and the company is working with rival Qualcomm on how to use this process technology a part of Intel’s foundry offering.

Beyond Intel 20A, Intel 18A 1.8nm equivalent is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. This is expected to use the High NA (numeric aperture) EUV process technology, and Intel expects to receive the first production tool in the industry from Dutch developer ASML. This will be key to reaching the 1nm node, but will also require new materials.

“Intel has a long history of foundational process innovations that have propelled the industry forward by leaps and bounds,” said Dr Ann Kelleher, senior vice president and general manager of Technology Development recruited by new CEO Pat Gelsigner to lead the development. “We led the transition to strained silicon at 90nm, to high-k metal gates at 45nm and to FinFET at 22nm. Intel 20A will be another watershed moment in process technology with two groundbreaking innovations: RibbonFET and PowerVia.”

这将also include a shift from the 2.5D EMIB (embedded multi-die interconnect bridge) technology where the pitch of the connection uses real numbers. This will be the first dual-reticle-sized device in the industry, delivering nearly the same performance as a monolithic design, moving from a 55um bump pitch to 45um.

Foveros 3 d堆叠技术will use a bump pitch of 36um, with tiles spanning multiple technology nodes and a thermal design power range from 5 to 125W. This will be followed by Foveros Omni that allows die disaggregation, mixing multiple top die tiles with multiple base tiles across mixed fab nodes and is expected to be ready for volume manufacturing in 2023.

Foveros Direct moves to direct copper-to-copper bonding for low-resistance interconnects with sub-10-micron bump pitches and blurs the boundary between where the wafer ends and where the package begins. Foveros Direct will enable a 10x increase in the interconnect density for 3D stacking, opening new concepts for functional die partitioning alongside Foveros Omni and is also expected to be ready in 2023.

Intel is also at pains to point out that the technology has been developed in Oregon and Arizona, working with imec in Belgium, rather than Taipei, as part of the increasing move to sovereignty in chip production.

www.intel.com

Related articles

Other articles on eeNews Europe


Linked Articles

eeNews Europe

10s
Baidu